温馨提示×

温馨提示×

您好,登录后才能下订单哦!

密码登录×
登录注册×
其他方式登录
点击 登录注册 即表示同意《亿速云用户服务条款》

windows下怎样简单搭建Questa的UVM平台

发布时间:2021-12-10 18:37:07 来源:亿速云 阅读:171 作者:柒染 栏目:互联网科技

本篇文章给大家分享的是有关windows下怎样简单搭建Questa的UVM平台,小编觉得挺实用的,因此分享给大家学习,希望大家阅读完这篇文章后可以有所收获,话不多说,跟着小编一起来看看吧。

questa 10.6c提供UVM1.1d,UVM1.2的库,因此不需要再去寻找资源,直接搭建即可。

  1. 编写sim.do文件

set UVM_DPI_HOME D:/Program/questasim64_10.6c/verilog_src/uvm-1.2/libset UVM_src  D:/Program/questasim64_10.6c/verilog_src/uvm-1.2/srcvlib workvlog +incdir+$UVM_src +incdir+C:/Users/13772/Desktop/UVM_ref -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF  testbench.svvsim -c -sv_lib $UVM_DPI_HOME/uvm_dpi work.top -novoptrun -all

上述代码中,

  1. 第一行指定了questa下UVM的DPI接口位置

  2. 第二行指定了UVM1.2库源代码的位置

  3. 第三行是创建work工作库

  4. 第四行是把自己的代码和uvm的代码加进去,编译

  5. 仿真

    2. 写.bat文件,如下所示:

windows下怎样简单搭建Questa的UVM平台

    3.更改modelsim.ini文件,首先去掉其只读属性,打开文件,把其中的UVM1.1d更改为1.2。然后再加上只读属性。

    4.双击run.bat文件,就可以自动跑起来了。

结果如下所示:

windows下怎样简单搭建Questa的UVM平台

以上就是windows下怎样简单搭建Questa的UVM平台,小编相信有部分知识点可能是我们日常工作会见到或用到的。希望你能通过这篇文章学到更多知识。更多详情敬请关注亿速云行业资讯频道。

向AI问一下细节

免责声明:本站发布的内容(图片、视频和文字)以原创、转载和分享为主,文章观点不代表本网站立场,如果涉及侵权请联系站长邮箱:is@yisu.com进行举报,并提供相关证据,一经查实,将立刻删除涉嫌侵权内容。

AI